推 hopeangel:方塊應該是指layout在電路板上吧! 140.134.242.133 12/11
> -------------------------------------------------------------------------- <
發信人: [email protected] (真的蹉跎太久了), 看板: Electronics
標 題: Re: VHDL的問題
發信站: 卡布奇諾 (Sun Dec 12 01:06:05 2004)
轉信站: ptt!ctu-reader!ctu-peer!news.nctu!netnews.csie.nctu!news.cs.nthu!wfcbb
應該是不一樣的東西吧....
block 主要是把電路模組化起來.....
process是把程式執行的方式變成順序性的....而不是並行...
有錯請指正....
※ 引述《[email protected] (小毛)》之銘言:
> 請問一下VHDL中
> BLOCK 與 PROCESS
> 在功用上,在速度上,閘數上,在除錯速上.....等等,在有什麼不同?
> 還是.............它們根本不能相比.....
> 或者它們是同一種功能
> 因為我看書上說BLOCK是把程式弄成一個方塊一個方塊
> 可是PROCESS不也是一個方塊一個方塊......嗎?
--
在我仰角45度的地方 有妳的存在
在我俯角75度的角落 有她的等待
當我環繞完360度的整個世界才突然驚覺
妳跟她都被遺忘在只有度量180角器的刻度上
--
※ Origin: 吳鳳技術學院電算中心 卡布奇諾 <bbs.wfc.edu.tw>
◆ From: 220-143-234-203.dynamic.hinet.net
> -------------------------------------------------------------------------- <
發信人: [email protected] (小毛), 看板: Electronics
標 題: Re: VHDL的問題
發信站: 清華資訊(楓橋驛站) (Sun Dec 12 07:53:41 2004)
轉信站: ptt!ctu-reader!ctu-peer!news.nctu!news.csie.ncyu!news.cs.nthu!netnews.
※ 引述《[email protected] (真的蹉跎太久了)》之銘言:
> 應該是不一樣的東西吧....
> block 主要是把電路模組化起來.....
> process是把程式執行的方式變成順序性的....而不是並行...
> 有錯請指正....
您好
process是順序性
請問block裡的程式,是不是並行執行呢?
--
※ Origin: 楓橋驛站<bbs.cs.nthu.edu.tw> ◆ From: 203.71.131.22
> -------------------------------------------------------------------------- <
發信人: [email protected] (基), 看板: Electronics
標 題: Re: VHDL的問題
發信站: 台灣科大電子BBS (Mon Dec 13 23:37:13 2004)
轉信站: ptt!ctu-reader!ctu-peer!Spring!news.nctu!news.ntust!ntustbbs
※ 引述《[email protected] (小毛)》之銘言:
> ※ 引述《[email protected] (真的蹉跎太久了)》之銘言:
> > 應該是不一樣的東西吧....
> > block 主要是把電路模組化起來.....
> > process是把程式執行的方式變成順序性的....而不是並行...
> > 有錯請指正....
> 您好
> process是順序性
> 請問block裡的程式,是不是並行執行呢?
block內的程式碼是不是並行執行的要看用的語法而定~~
每個block之間是並行處理的,比如說
block A:是做加法器運算 (C=A+B)
block B:是做減法器運算(D=A-B)
則block B 不用等block A做完,才做block B的運算~
你把類似上敘的功能用軟體模擬出來看它 的電路圖就會知道
並行和順序執行的差別了~
我對Verilog比較熟啦~所以VHDL的code就沒列了~
--
※ Origin: 台灣科大電子站 <bbs.et.ntust.edu.tw>
◆ From: 218.175.159.197
> -------------------------------------------------------------------------- <
發信人: [email protected] (小毛), 看板: Electronics
標 題: VHDL的問題
發信站: 清華資訊(楓橋驛站) (Fri Dec 17 18:00:44 2004)
轉信站: ptt!ctu-reader!ctu-gate!news.nctu!news.ncu!news.csie.mcu!news.mcu!news
不知怎麼改才不會有警告,雖然警告可以忽略....但是還是想知道哪邊改才不會有警告
WARNING:Xst:819 - d:/data/vhdl/test/compo.vhd line 16: The following signals are missing in the process sensitivity list:
WARNING:Xst:653 - Signal <C> is used but never assigned. Tied to value 00000000.
WARNING:Xst:737 - Found 4-bit latch for signal <C_1>.
WARNING:Xst:1710 - FF/Latch <C_1_1> (without init value) is constant in block <compo>.
WARNING:Xst:1710 - FF/Latch <C_1_3> (without init value) is constant in block <compo>.
WARNING:Xst:1710 - FF/Latch <C_1_2> (without init value) is constant in block <compo>.
WARNING:Xst:1710 - FF/Latch <C_1_0> (without init value) is constant in block <compo>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <COM_5> (without init value) is constant in block <compo>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <COM_4> (without init value) is constant in block <compo>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <COM_0> (without init value) is constant in block <compo>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <COM_1> (without init value) is constant in block <compo>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <COM_2> (without init value) is constant in block <compo>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <COM_3> (without init value) is constant in block <compo>.
================================
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity compo is
Port ( reset : in std_logic;
CLK : in std_logic;
C_1 : out std_logic_vector(3 DOWNTO 0));
end compo;
architecture main of compo is
signal COM: std_logic_vector(5 DOWNTO 0);
signal C: std_logic_vector(7 DOWNTO 0);
begin
PROCESS(CLK , RESET)
BEGIN
IF RESET = '0' THEN
COM <= "100000" ;
ELSIF CLK'EVENT AND CLK = '1' THEN
COM <= COM(5) & COM(4) & "0000" ;
END IF;
CASE COM IS
WHEN "100000" => C_1 <= C(3 DOWNTO 0) ;
WHEN "010000" => C_1 <= C(7 DOWNTO 4) ;
WHEN OTHERS => NULL ;
END CASE ;
END PROCESS ;
end main;
--
※ Origin: 楓橋驛站<bbs.cs.nthu.edu.tw> ◆ From: 203.71.131.22
> -------------------------------------------------------------------------- <
發信人: [email protected] (小毛), 看板: Electronics
標 題: VHDL的問題
發信站: 清華資訊(楓橋驛站) (Mon Jul 18 19:18:00 2005)
轉信站: ptt!ctu-reader!ctu-peer!news.nctu!netnews.csie.nctu!news.cs.nthu!netne
請問一下
我想用VHDL設計一個索引定址模式的8位元RISC microcontroller
目前我會VHDL , 手邊也有 32位元RISC microcontroller 的VHDL範例
但是,我不明白麼是 索引定址模式
我有上網查資料 , 資料多半都只介紹 直接跟間接模式...
手邊的那本 蔡國睡 林明權著的 快速數位系統IC雛型設計實務
裡面沒有強調它用的是哪一個模式.....
所以現在無法著手...
不知高手們可不可給點提試....或者講逼下那是什麼樣的東西
我8051學得不好 , 所以.......不太明白
--
※ Origin: 楓橋驛站<bbs.cs.nthu.edu.tw> ◆ From: 210-58-6-204.cm.dynamic.apol.com.tw
> -------------------------------------------------------------------------- <
發信人: [email protected] (朋), 看板: Electronics
標 題: Re: VHDL的問題
發信站: 漂浮電子 (Tue Jul 19 09:27:01 2005)
轉信站: ptt!ctu-reader!news.nctu!NcnuEEBBS
※ 引述《[email protected] (小毛)》之銘言:
> 請問一下
> 我想用VHDL設計一個索引定址模式的8位元RISC microcontroller
> 目前我會VHDL , 手邊也有 32位元RISC microcontroller 的VHDL範例
> 但是,我不明白麼是 索引定址模式
> 我有上網查資料 , 資料多半都只介紹 直接跟間接模式...
> 手邊的那本 蔡國睡 林明權著的 快速數位系統IC雛型設計實務
> 裡面沒有強調它用的是哪一個模式.....
> 所以現在無法著手...
> 不知高手們可不可給點提試....或者講逼下那是什麼樣的東西
> 我8051學得不好 , 所以.......不太明白
那就趕快藉機把 8051 再看一下吧!
indexed addressing mode
MOV A, @A+DPTR
--
◤◥ Origin: 暨大電機˙漂浮電子 bbs.ee.ncnu.edu.tw
◣◢ Author: MoonMoon 從 ip017.puli24-10-10.ncnu.edu.tw 發表
> -------------------------------------------------------------------------- <
發信人: [email protected] (Cast Away), 看板: Electronics
標 題: Re: VHDL的問題
發信站: 天樞資訊網 (Tue Jul 19 10:04:36 2005)
轉信站: ptt!ctu-reader!ctu-peer!news.nctu!news.nchu!pivot
※ 引述《[email protected] (小毛)》之銘言:
> 請問一下
> 我想用VHDL設計一個索引定址模式的8位元RISC microcontroller
> 目前我會VHDL , 手邊也有 32位元RISC microcontroller 的VHDL範例
> 但是,我不明白麼是 索引定址模式
> 我有上網查資料 , 資料多半都只介紹 直接跟間接模式...
> 手邊的那本 蔡國睡 林明權著的 快速數位系統IC雛型設計實務
> 裡面沒有強調它用的是哪一個模式.....
> 所以現在無法著手...
> 不知高手們可不可給點提試....或者講逼下那是什麼樣的東西
> 我8051學得不好 , 所以.......不太明白
基底base reg 放參考位址
索引index reg放offset位置距離
--
Ξ Origin: 中興大學天樞資訊網 <bbs.nchu.edu.tw>
Ξ From : 211-74-225-153.adsl.static.seed.net.tw
> -------------------------------------------------------------------------- <
發信人: [email protected] (Cast Away), 看板: Electronics
標 題: Re: VHDL的問題
發信站: 天樞資訊網 (Tue Jul 19 13:37:06 2005)
轉信站: ptt!ctu-reader!ctu-peer!news.nctu!news.nchu!pivot
※ 引述《RH (Cast Away)》之銘言:
> ※ 引述《[email protected] (小毛)》之銘言:
> > 請問一下
> > 我想用VHDL設計一個索引定址模式的8位元RISC microcontroller
> > 目前我會VHDL , 手邊也有 32位元RISC microcontroller 的VHDL範例
> > 但是,我不明白麼是 索引定址模式
> > 我有上網查資料 , 資料多半都只介紹 直接跟間接模式...
> > 手邊的那本 蔡國睡 林明權著的 快速數位系統IC雛型設計實務
> > 裡面沒有強調它用的是哪一個模式.....
> > 所以現在無法著手...
> > 不知高手們可不可給點提試....或者講逼下那是什麼樣的東西
> > 我8051學得不好 , 所以.......不太明白
> 基底base reg 放參考位址
> 索引index reg放offset位置距離
ex.
index reg=addr_1
offset reg=offset_1
target addr=addr_1+offset_1
--
Ξ Origin: 中興大學天樞資訊網 <bbs.nchu.edu.tw>
Ξ From : 211-74-225-153.adsl.static.seed.net.tw
> -------------------------------------------------------------------------- <
發信人: [email protected] (小毛), 看板: Electronics
標 題: Re: VHDL的問題
發信站: 清華資訊(楓橋驛站) (Tue Jul 19 15:08:23 2005)
轉信站: ptt!ctu-reader!ctu-peer!news.nctu!netnews.csie.nctu!news.cs.nthu!netne
※ 引述《[email protected] (Cast Away)》之銘言:
> ※ 引述《RH (Cast Away)》之銘言:
> > 基底base reg 放參考位址
> > 索引index reg放offset位置距離
> ex.
> index reg=addr_1
> offset reg=offset_1
> target addr=addr_1+offset_1
感謝你的回答
還不太完全懂你的意思
可能我8051不太會 , 沒辦法馬上......聽懂你講的一些專有名詞意思
而它跟VHDL又有什麼直接的關聯?
像圖中這個程式例子 , 它是屬於哪一種模式呢?
http://203.71.42.75/fpga/sos/File0001.jpg
http://203.71.42.75/fpga/sos/File0002.jpg
--
※ Origin: 楓橋驛站<bbs.cs.nthu.edu.tw> ◆ From: 210-58-6-204.cm.dynamic.apol.com.tw
> -------------------------------------------------------------------------- <
發信人: [email protected] (虫子), 看板: Electronics
標 題: VHDL的問題
發信站: 清華資訊(楓橋驛站) (Tue Aug 9 22:31:05 2005)
轉信站: ptt!ctu-reader!ctu-peer!news.nctu!news.csie.ncyu!news.cs.nthu!netnews.
在單體裡宣告...
A : in std_logic;
B : in bit;
A和B在合成上有什麼不同呢?
我知道 bit是 位元 , std_logic是 標準邏輯
我的意思是........兩個都 0 和 1
在合成後........電路有什麼不同?邏輯閘數呢?
如果要過 s3 <= s1 and s2
s1,s2宣告bit好,還是std_logic?why?
--
※ Origin: 楓橋驛站<bbs.cs.nthu.edu.tw> ◆ From: 210-58-6-204.cm.dynamic.apol.com.tw
> -------------------------------------------------------------------------- <
發信人: [email protected] (Cast Away), 看板: Electronics
標 題: Re: VHDL的問題
發信站: 中興天樞 (Wed Aug 10 07:19:37 2005)
轉信站: ptt!ctu-reader!news.nctu!pivot
※ 引述《[email protected] (虫子)》之銘言:
> 在單體裡宣告...
> A : in std_logic;
> B : in bit;
> A和B在合成上有什麼不同呢?
> 我知道 bit是 位元 , std_logic是 標準邏輯
> 我的意思是........兩個都 0 和 1
> 在合成後........電路有什麼不同?邏輯閘數呢?
> 如果要過 s3 <= s1 and s2
> s1,s2宣告bit好,還是std_logic?why?
std_logic 有(u,x,0,1,z,w,l,h,-)
bit 只有0,1
所以當要合成三態閘中所需的Z(高阻抗)
bit就有所不足
--
Ξ Origin: 中興大學天樞資訊網 <bbs.nchu.edu.tw>
Ξ From : 211-74-225-153.adsl.static.seed.net.tw
> -------------------------------------------------------------------------- <
發信人: [email protected] (漫天回憶舞秋風), 看板: Electronics
標 題: Re: VHDL的問題
發信站: 清華資訊(楓橋驛站) (Wed Aug 10 09:09:55 2005)
轉信站: ptt!ctu-reader!ctu-peer!news.nctu!nctumenews!news.ind.ntou!news.ntu!ne
※ 引述《[email protected] (Cast Away)》之銘言:
> std_logic 有(u,x,0,1,z,w,l,h,-)
std_logic 的定義沒那麼多種類, std_ulogic 才有...
> bit 只有0,1
> 所以當要合成三態閘中所需的Z(高阻抗)
> bit就有所不足
--
※ Origin: 楓橋驛站<bbs.cs.nthu.edu.tw> ◆ From: micro.ee.nthu.edu.tw
> -------------------------------------------------------------------------- <
發信人: [email protected] (虫子), 看板: Electronics
標 題: Re: VHDL的問題
發信站: 清華資訊(楓橋驛站) (Wed Aug 10 09:29:26 2005)
轉信站: ptt!ctu-reader!ctu-peer!news.nctu!news.csie.ncyu!news.cs.nthu!netnews.
> std_logic 有(u,x,0,1,z,w,l,h,-)
> bit 只有0,1
> 所以當要合成三態閘中所需的Z(高阻抗)
> bit就有所不足
邏輯閘數一樣多?
--
※ Origin: 楓橋驛站<bbs.cs.nthu.edu.tw> ◆ From: 210-58-6-204.cm.dynamic.apol.com.tw
> -------------------------------------------------------------------------- <
發信人: [email protected] (Cast Away), 看板: Electronics
標 題: Re: VHDL的問題
發信站: 中興天樞 (Wed Aug 10 10:09:02 2005)
轉信站: ptt!ctu-reader!news.nctu!pivot
※ 引述《[email protected] (漫天回憶舞秋風)》之銘言:
> ※ 引述《[email protected] (Cast Away)》之銘言:
> > std_logic 有(u,x,0,1,z,w,l,h,-)
> std_logic 的定義沒那麼多種類, std_ulogic 才有...
> > bit 只有0,1
> > 所以當要合成三態閘中所需的Z(高阻抗)
> > bit就有所不足
sorry...std_logic是(0,1,z)
我原來說的是std_ulogic
std_logic是std_ulogic的次型別(subtype)
std_ulogic是未經解析(unresolved)
--
Ξ Origin: 中興大學天樞資訊網 <bbs.nchu.edu.tw>
Ξ From : 211-74-225-153.adsl.static.seed.net.tw
> -------------------------------------------------------------------------- <
發信人: [email protected] (Cast Away), 看板: Electronics
標 題: Re: VHDL的問題
發信站: 中興天樞 (Wed Aug 10 12:43:29 2005)
轉信站: ptt!ctu-reader!news.nctu!pivot
※ 引述《[email protected] (虫子)》之銘言:
> > std_logic 有(u,x,0,1,z,w,l,h,-)
> > bit 只有0,1
> > 所以當要合成三態閘中所需的Z(高阻抗)
> > bit就有所不足
> 邏輯閘數一樣多?
上述只是舉一個例子...
應該是能與不能的問題....
至於閘數是看設計而定....
--
Ξ Origin: 中興大學天樞資訊網 <bbs.nchu.edu.tw>
Ξ From : mail.heatwell.com
※ Origin: 楓橋驛站<bbs.cs.nthu.edu.tw> ◆ From: 203.71.131.22
請問一下VHDL中
BLOCK 與 PROCESS
在功用上,在速度上,閘數上,在除錯速上.....等等,在有什麼不同?
還是.............它們根本不能相比.....
或者它們是同一種功能
因為我看書上說BLOCK是把程式弄成一個方塊一個方塊
可是PROCESS不也是一個方塊一個方塊......嗎?
--