作者athrun0000 (00)
看板Visual_Basic
標題[建議] 如何寫7段顯示器解碼器程式
時間Sat Mar 29 01:13:04 2008
如何寫7段顯示器解碼器程式
BCD abcdefg 的程式
要用這種程式寫 VHDL吧
library ieee;
use ieee.std_logic_1164.all;
entity aa is
port(A,B,C: in std_logic;
Y : out std_logic);
end aa;
architecture a of aa is
begin
Y<=(a and b)or(b and c)or(c and A);
end a;
請幫我打完整重頭到尾拜託各位
謝謝 希望能附上電路圖 跟真值表
有MAX+plusII 10.2版的寄檔案給我各好
claudeqq2@yahoo.com.tw
--
※ 發信站: 批踢踢實業坊(ptt.cc)
◆ From: 220.136.165.218
噓 MOONRAKER:還建議咧 真是太幽默了 XD 03/29 03:31
→ MOONRAKER:為防本人再也看不到這麼幽默的文 就麻煩你重修巴 03/29 03:33