看板 Electronics 關於我們 聯絡資訊
各位大大好, 小弟有個verilog的問題. 就是在verilog檔案中加入'protect和'endprotect後, 使用cadence 的verilog 加密, 指令如下: verilog file.v +protect 有加密成功, 但是使用ncvlog編輯卻失敗了, 請問有那位大大會嗎? 謝謝 ----- Sent from JPTT on my HTC_U-1u. -- ※ 發信站: 批踢踢實業坊(ptt.cc), 來自: 110.50.148.33 ※ 文章網址: https://www.ptt.cc/bbs/Electronics/M.1525318565.A.F21.html
mmonkeyboyy: +protect 05/03 11:56
mmonkeyboyy: 然後你要用啥編輯? 再打開嗎? 05/03 11:57
mmonkeyboyy: 你就不能再開了啊.....你的source code要另存啊 05/03 11:59
leemings: source有另外存, 加密檔是要給其它人使用ncsim做simulat 05/03 13:06
leemings: ion 05/03 13:06
mmonkeyboyy: 那個人只能開著當ip core用囉 05/03 21:41
mmonkeyboyy: 用法就是一扔進去當成一般verilog讀 compile就好 05/03 21:45
leemings: 朋友已告知解決方法, 謝謝大家的建議 05/03 21:56
mmonkeyboyy: 其實我比較想知道到底問題是什麼Q_Q 05/03 22:02