看板 Tech_Job 關於我們 聯絡資訊
最近大徵才, 阿肥我也趁著這次機會面了許多家公司 平常受惠於 PTT & dcard, 因此就來 PO 個面試心得文 以下都是一線IC廠有問到我的問題 就不區分公司了 背景: 混血四大電資碩 通常針對碩論 & project 都會有針對細節的問題 (1) 這個地方為什麼要這樣做 (2) 這樣做的目的是什麼, 事前有沒有做過分析能保證效能一定提升 (3) 如果讓你重新做一次這個 project, 你覺得可以改進那些地方 (4) 你在這個 project 的主要貢獻是那些地方 投影片報告完後就會針對數位IC的觀念去問 不過因為我有修 iclab 在報告中我有放一頁的修課心得 列出了我在這門課學到的東西 CDC, STA, Low power等等的 因此問題也都是環繞在這幾個地方 1. CDC 針對 CDC 其實我都會先打預防針 說明在課程中我只有用過 2FF 的方法來解決 FIFO 只有課程中提過, 並沒有實做過 因此問題都是針對 2FF 來問 (1) metastability 是什麼 (2) 如何透過 2FF 解決 metastability (3) 3FF 相比 2FF 差別在哪 (4) 是否可以用 2FF 傳多個 bit 的 data, 會產生哪些問題 (5) 如果不使用 FIFO, 要如何應用 2FF 傳多個 bit 的 data (6) 如果你傳輸過來的資料是 101010.... 接續這樣過來, 你要怎麼知道這些資料哪些 2. STA 這部分就是很簡單的 setup time & hold time 因為現在都是遠端面試 我會準備一張圖上面有 兩個 flip flop 以及有 clock skew 的兩個 clk 波形圖 然後用小畫家在上面直接畫出算 setup time & hold time 的方法 除此之外還有被問過 STA 跟 DTA 的差別 3. Low power 這個我也會打預防針, 課程中只有教到 clock gating 的方式 不過我會說明為何 clock gating 可以達成省電的目的 有些主管也會問到在大的 design 中, 除了 clock gating 你要如何達到省電這個目標 我會說可以用 data gating 或是針對不同 block 給不同電壓 不過這個我覺得不是正確答案, 有人知道答案可以跟我分享一下謝謝 4. Design compiler 使用的經驗 這個只有一位主管問過我 不過我面對這種不常會被考的問題都是誠實回答我自己的經驗 這部分不會的話應該也不用太擔心 另外在合成的時候通常都會設 input delay & output delay, 有被問到這個的用途是為了什麼 5. 合作經驗 這個幾乎必考, 事前就準備一下吧 如果沒有 project 的合作經驗 聽說有人講社團的也可以? 不知道為何我這幾場面試下來都沒被考過白版題 不過我準備了蠻多的考古題 就稍微列一下當作參考 (1) 除頻器, 看心得文通常都會考頻率變成 1/3 的電路如何實作 (2) 同步非同步電路 (3) 同步非同步 reset (4) design flow (5) blocking & non-blocking (6) cmos 畫簡單的 gate (7) FSM 用 one-hot 以及 counter 的差別 最後感謝一下陪我練習面試的肥宅鄰兵跟lab巨巨們 因為我面試超容易緊張 之前面實習的時候緊張到問題都答得超爛就下去了 這次在面試前一直反覆練習報告的內容 針對問題也都想了好多種情況去預演 運氣好遇到今年大缺人 才讓我找到了工作 祝大家求職順利 ----- Sent from JPTT on my Sony XQ-AU52. -- ※ 發信站: 批踢踢實業坊(ptt.cc), 來自: 27.52.137.29 (臺灣) ※ 文章網址: https://www.ptt.cc/bbs/Tech_Job/M.1633692482.A.63A.html
dakkk : 看來進mtk 10/08 19:32
USAJeremyLin: 推 10/08 19:33
CuteMiuMiu : 強者我同學推 10/08 19:34
dalbuhr : 看到CDC和預防針那句是在玩梗嗎? 10/08 19:35
labbat : 放XOR是哪一種原理? 10/08 19:38
ssrandy : 推原po應該強者 10/08 19:39
nokiakak : 放xor是? 10/08 19:42
xor 是課程作業裡面有這樣做過 我面試回答的答案沒有去證實過 就不講出來以免誤導了 ※ 編輯: iammtker (27.52.137.29 臺灣), 10/08/2021 19:43:49 ※ 編輯: iammtker (27.52.137.29 臺灣), 10/08/2021 19:45:26
bcew : 能回答到這樣,知道自己什麼不會,已經比很多在職 10/08 19:52
bcew : 的人好了 10/08 19:52
HaLouis : id給推XD 想請問原po碩論的領域是什麼 10/08 19:53
jokc7839 : 推id 10/08 19:56
CuteMiuMiu : 放XOR的是toggle synchronizer 10/08 20:00
chenyi : 你這ID...XD 10/08 20:04
kevinfisher : 推原po,這些東西我在面試時也被問過超多次,基本上 10/08 21:08
kevinfisher : 新鮮人進去前弄懂這些未來會輕鬆很多 10/08 21:08
leoc554 : 數位ic準備算簡單 10/08 21:11
leoc554 : 相比軟體缺 10/08 21:11
m4vu0 : 厲害。 我準備好數位ic面試考題。但都沒有面試機會 10/08 21:13
ccugoo : 推 10/08 21:20
as891339 : 推 10/08 21:32
apttman : 數位ic真的簡單~門檻低~電機資工畢業的基本都會 10/08 21:33
samm3320 : 畢業基本都會這就難說了 10/08 21:36
apttman : 數位ic簡單到爆~電資學院一堆課比它難 10/08 21:39
apttman : 泥各位最瞧不起的電力組的電力系統都比它難多了 10/08 21:41
f763guy : 難沒有用,能賺錢才重要,簡單沒用,有面試機會才 10/08 21:45
f763guy : 重要 10/08 21:45
samm3320 : 要說難微波才是天書,但是畢業難找 10/08 21:51
lolo0129 : 輪班星人念的都比這難,薛丁格方程式會了然後?輪班 10/08 21:52
lolo0129 : 啊,除非你念博班。所以IC設計有種奇妙現象,私立 10/08 21:52
lolo0129 : 碩的designer去指揮台大物理、光電碩的去解issue, 10/08 21:52
lolo0129 : 然後私立碩看起來只是會設計電路,但是不不會解自己 10/08 21:52
lolo0129 : 電路issue....然後台大碩對於私立碩的指揮、實驗設 10/08 21:52
lolo0129 : 計感到匪夷所思,這在二線IC廠非常容易看到 10/08 21:52
gragon : 電波真的難 10/08 21:53
pingtaowang : 問的問題不難 基本觀念 給推 10/08 22:05
den7 : 新人能夠知道有這些問題,就已經很不錯了 ,要會 10/08 22:12
den7 : 的東西太多,不奢求你一定要知道答案,會想辦法找 10/08 22:12
den7 : 出答案的能力比較重要 10/08 22:12
CrabBro : 3. low power最好的方法大概是大小核,直接關電 10/08 22:29
CrabBro : 透過always on的方式去控制開關,除此之外level-shi 10/08 22:30
CrabBro : ft的使用,把cell換慢,減少leakage都是方法 10/08 22:31
CrabBro : STA部份除了ICG,也可以換model,像是POCV消除悲觀 10/08 22:34
感謝大大的解答
bryantfan117: 大神牛逼,最近看Cummings狂惡補 10/08 23:02
bensheep : 最近真的是大搶人時代 10/09 00:01
wiston1419 : 因為數位ic面試沒時間給你spec叫你當場design 只好 10/09 00:49
wiston1419 : 問觀念題 覺得design簡單的可以參加ic contest有名 10/09 00:49
wiston1419 : 次自然會有面試機會 10/09 00:49
ModelY : 數位很多很難的題目好嗎.... 10/09 02:06
ModelY : 這大概是面試大m 的整合team 吧 10/09 02:07
ModelY : 覺得簡單的考一個IIR/cache verilog 10/09 02:09
GarySu1104 : 推心得分享 10/09 03:27
HardyJJ : 過十年還是問差不多的題目… 10/09 04:24
HardyJJ : 大M很缺..答不出來應該也會上 10/09 04:25
HardyJJ : 看你id那麼有熱誠也是好事啦.. 10/09 04:26
HardyJJ : 加班記得報 水果記得刷 10/09 04:26
qazxcvb : Id政治正確 10/09 09:25
cyl61123 : 推個 我準備的東西跟你類似 但技術面完全沒被問 而 10/09 11:04
cyl61123 : 且新人學歷不同 拿到的邀約真的差很多… 10/09 11:04
Rickyyy : 算強的 10/09 20:28
aspd5306 : 如果我有面到你一定錄取 這已經比很多新人強了xd 10/09 20:39
OBTea : Low power 系統面要用的手段超多 10/10 00:28
xuann6 : 推分享 10/10 11:21
peterlin0224: low power可以分怎麼處理dynamic power和 static po 10/10 22:24
peterlin0224: wer來討論 10/10 22:24
peterlin0224: multi-voltage IC可以規劃power plan,接著討論 iso 10/10 22:26
peterlin0224: lation cell、retention register和level shifter 10/10 22:26
感謝大大的詳細解釋
peterlin0224: 來討論 10/10 22:26
jumber : 這即戰力了,推 10/11 09:28
※ 編輯: iammtker (27.242.3.103 臺灣), 10/12/2021 11:08:13 ※ 編輯: iammtker (27.242.3.103 臺灣), 10/12/2021 11:08:52
zzxx080954 : 學長好強 10/22 13:16